Basic Terminology for Advanced Packaging

Kuyika kwapamwamba ndi chimodzi mwazinthu zaukadaulo zanthawi ya 'More than Moore'.Pamene tchipisi chikuchulukirachulukira chovuta komanso chokwera mtengo kuti chichepetse pang'onopang'ono panjira iliyonse, mainjiniya akuyika tchipisi tambiri m'maphukusi apamwamba kuti asavutikenso kuwachepetsa.Nkhaniyi ikupereka chidule chachidule cha mawu 10 omwe amagwiritsidwa ntchito kwambiri muukadaulo wapamwamba wamapaketi.

2.5D phukusi

Phukusi la 2.5D ndikupita patsogolo kwaukadaulo wamapaketi amtundu wa 2D IC, kulola mizere yabwino kwambiri komanso kugwiritsa ntchito malo.Mu phukusi la 2.5D, zotsalira zopanda kanthu zimayikidwa mbali ndi mbali pamwamba pa interposer wosanjikiza ndi silicon kudzera vias (TSVs).Base, kapena interposer layer, imapereka kulumikizana pakati pa tchipisi.

Phukusi la 2.5D nthawi zambiri limagwiritsidwa ntchito popanga ma ASIC apamwamba, ma FPGA, ma GPU ndi ma memory cubes.2008 idawona Xilinx ikugawa ma FPGA ake akulu kukhala tchipisi tating'ono zinayi tokhala ndi zokolola zambiri ndikulumikiza izi ndi silicon interposer wosanjikiza.Maphukusi a 2.5D adabadwa ndipo pamapeto pake adagwiritsidwa ntchito kwambiri pakuphatikiza purosesa ya bandwidth memory (HBM).

1

Chithunzi cha phukusi la 2.5D

3D phukusi

Mu phukusi la 3D IC, logic kufa imayikidwa palimodzi kapena ndi chosungira, kuchotsa kufunikira kopanga ma System-on-Chips (SoCs).Mafawa amalumikizidwa wina ndi mnzake ndi wosanjikiza wophatikizika, pomwe mapaketi a 2.5D IC amagwiritsa ntchito mabampu oyendetsa kapena ma TSV kuti asungire zigawo pagawo la interposer, mapaketi a 3D IC amalumikiza magawo angapo a silicon wafers ku zigawo zogwiritsa ntchito ma TSV.

Ukadaulo wa TSV ndiye ukadaulo wofunikira kwambiri pamapaketi onse a 2.5D ndi 3D IC, ndipo makampani opanga ma semiconductor akhala akugwiritsa ntchito ukadaulo wa HBM kupanga tchipisi ta DRAM mu mapaketi a 3D IC.

2

Kuwona kwapang'onopang'ono kwa phukusi la 3D kukuwonetsa kuti kulumikizana koyima pakati pa tchipisi ta silicon kumatheka kudzera muzitsulo zamkuwa za TSV.

Chiplet

Ma Chiplets ndi mtundu wina wa 3D IC woyika zomwe zimathandizira kuphatikiza kosiyanasiyana kwa CMOS ndi zida zomwe si za CMOS.Mwanjira ina, ndi ma SoC ang'onoang'ono, omwe amatchedwanso ma chipset, m'malo mwa ma SoC akulu phukusi.

Kuphwanya SoC yayikulu kukhala tchipisi tating'ono, ting'onoting'ono kumapereka zokolola zambiri komanso zotsika mtengo kuposa kufa kamodzi kokha.ma chipset amalola opanga kugwiritsa ntchito mwayi wosiyanasiyana wa IP popanda kuganizira kuti ndi njira yanji yogwiritsira ntchito komanso ukadaulo woti agwiritse ntchito popanga.Angagwiritse ntchito zipangizo zosiyanasiyana, kuphatikizapo silicon, galasi ndi laminates kupanga chip.

3

Makina opangidwa ndi Chiplet amapangidwa ndi ma Chiplets angapo pagawo lapakati

Fan Out Packages

Mu phukusi la Fan Out, "kulumikizana" kumawunikiridwa pamwamba pa chip kuti kupereke I/O yakunja.Imagwiritsa ntchito epoxy molding material (EMC) yomwe imayikidwa mu kufa, kuthetsa kufunikira kwa njira monga kugwedeza, kupukuta, kuyika chip-chip, kuyeretsa, kupopera pansi ndi kuchiritsa.Choncho, palibe wosanjikiza wofunikanso, zomwe zimapangitsa kuti kuphatikiza kosiyanasiyana kukhale kosavuta.

Ukadaulo wa fan-out umapereka phukusi laling'ono lokhala ndi ma I/O ambiri kuposa mitundu ina ya phukusi, ndipo mu 2016 anali katswiri waukadaulo pomwe Apple idatha kugwiritsa ntchito ukadaulo wapackage wa TSMC kuphatikiza purosesa yake ya 16nm application ndi mafoni a DRAM kukhala phukusi limodzi la iPhone. 7.

4

Kupaka kwa fan-out

Fan-Out Wafer Level Packaging (FOWLP)

Ukadaulo wa FOWLP ndiwotsogola pamapakedwe awafer-level (WLP) omwe amapereka kulumikizana kwakunja kwa tchipisi ta silicon.Zimaphatikizapo kuyika chip muzinthu zomangira epoxy ndikumanganso wosanjikiza kwambiri (RDL) pamtunda wowotcha ndikuyika mipira yogulitsira kuti ipange chophatikizira chopangidwanso.

FOWLP imapereka kulumikizana kwakukulu pakati pa phukusi ndi bolodi yogwiritsira ntchito, ndipo chifukwa gawolo ndi lalikulu kuposa kufa, phula lakufa limakhala lomasuka kwambiri.

5

Chitsanzo cha phukusi la FOWLP

Kuphatikiza kosiyanasiyana

Kuphatikizika kwa magawo osiyanasiyana opangidwa padera pamisonkhano yapamwamba kumatha kupititsa patsogolo magwiridwe antchito ndikuwongolera magwiridwe antchito, kotero opanga chigawo cha semiconductor amatha kuphatikiza zida zogwirira ntchito ndi njira zosiyanasiyana zomwe zikuyenda mumsonkhano umodzi.

Kuphatikizika kosasinthika kumakhala kofanana ndi dongosolo-mu-package (SiP), koma m'malo mophatikiza kufa kambiri pagawo limodzi, kumaphatikiza ma IP angapo mu mawonekedwe a Chiplets pagawo limodzi.Lingaliro lalikulu la kuphatikiza kosiyanasiyana ndikuphatikiza zigawo zingapo ndi ntchito zosiyanasiyana mu phukusi lomwelo.

6

Zomangira zina zamaukadaulo pakuphatikiza kosiyanasiyana

Mtengo wa HBM

HBM ndi ukadaulo wokhazikika wosungira zinthu zomwe zimapereka njira zapamwamba za bandwidth za data mkati mwa stack ndi pakati pa kukumbukira ndi zida zomveka.Mapaketi a HBM amasunga kukumbukira amafa ndikulumikiza limodzi kudzera pa TSV kuti apange I/O yambiri ndi bandwidth.

HBM ndi mulingo wa JEDEC womwe umaphatikizira magawo angapo a zigawo za DRAM mkati mwa phukusi, pamodzi ndi mapurosesa ogwiritsira ntchito, ma GPU ndi ma SoC.HBM imayikidwa makamaka ngati phukusi la 2.5D la ma seva apamwamba kwambiri komanso tchipisi ta maukonde.Kutulutsidwa kwa HBM2 tsopano kumayang'ana mphamvu ndi malire a wotchi ya kutulutsidwa koyambirira kwa HBM.

7

Zithunzi za HBM

Gulu Lapakatikati

Chigawo cha interposer ndi njira yomwe magetsi amadutsa kuchokera ku multi-chip bare die kapena bolodi mu phukusi.Ndiwo mawonekedwe amagetsi pakati pa zitsulo kapena zolumikizira, zomwe zimalola kuti zizindikilo zizifalitsidwa motalikirapo komanso kulumikizidwa ndi zitsulo zina pa bolodi.

Chigawo cha interposer chikhoza kupangidwa ndi silicon ndi zinthu zakuthupi ndipo chimakhala ngati mlatho pakati pa multi-die die ndi bolodi.Zigawo za silicon interposer ndi ukadaulo wotsimikiziridwa wokhala ndi kachulukidwe kapamwamba ka I/O komanso kachulukidwe ka TSV ndipo imagwira ntchito yofunika kwambiri pakuyika kwa chip 2.5D ndi 3D IC.

8

Kukhazikitsa kwadongosolo kwa gawo lapakati logawa

Kugawanso gawo

Chigawo chogawiranso chimakhala ndi kugwirizana kwa mkuwa kapena kugwirizanitsa komwe kumathandizira kugwirizana kwa magetsi pakati pa magawo osiyanasiyana a phukusi.Ndi chitsulo chosanjikiza chachitsulo kapena polymeric dielectric chomwe chimatha kuyikidwa mu phukusi ndi kufa wopanda kanthu, motero kuchepetsa kusiyana kwa I/O kwa chipsets zazikulu.Zigawo zogawiranso zakhala gawo lofunikira la mayankho a phukusi la 2.5D ndi 3D, kulola tchipisi tawo kuti tizilumikizana wina ndi mnzake pogwiritsa ntchito zigawo zapakati.

9

Integrated phukusi ntchito redistribution zigawo

TSV

TSV ndi ukadaulo wofunikira pakukhazikitsa mayankho a 2.5D ndi 3D ndipo ndi chowotcha chodzaza ndi mkuwa chomwe chimapereka cholumikizira chowongoka kudzera pa silicon wafer die.Imadutsa mukufa yonse kuti ipereke kulumikizana kwamagetsi, kupanga njira yayifupi kwambiri kuchokera ku mbali imodzi ya kufa kupita ku imzake.

Kupyolera mu mabowo kapena vias anazikika kuti akuya ena kuchokera kutsogolo mbali ya mkate, amene ndiye insulated ndi kudzazidwa ndi madipoziti conductive zakuthupi (nthawi zambiri mkuwa).Chip chikapangidwa, chimaphwanyidwa kumbuyo kwa chophatikiziracho kuti chiwonetsere vias ndi chitsulo chomwe chimayikidwa kumbuyo kwa chophatikiziracho kuti amalize kulumikizana kwa TSV.

10


Nthawi yotumiza: Jul-07-2023

Titumizireni uthenga wanu: