Kodi Njira 6 Zofunikira Pakupanga Chip ndi Chiyani?

Mu 2020, tchipisi zopitilira thililiyoni zidapangidwa padziko lonse lapansi, zomwe zikufanana ndi tchipisi 130 zomwe zimagwiritsidwa ntchito ndi munthu aliyense padziko lapansi.Komabe ngakhale zili choncho, kuchepa kwa chip kwaposachedwa kukupitilizabe kuwonetsa kuti chiwerengerochi sichinafikebe kumtunda kwake.

Ngakhale tchipisi zitha kupangidwa kale pamlingo waukulu chonchi, kupanga sikophweka.Njira yopangira tchipisi ndi yovuta, ndipo lero tikambirana njira zisanu ndi imodzi zofunika kwambiri: kuyika, zokutira za photoresist, lithography, etching, implantation ya ion, ndi kuyika.

Kuyika

Gawo loyikapo limayamba ndi chowotcha, chomwe chimadulidwa kuchokera ku silinda yoyera ya 99.99% (yomwe imatchedwanso "silicon ingot") ndikupukutidwa mpaka kumapeto kosalala kwambiri, kenako filimu yopyapyala ya conductor, insulator, kapena semiconductor imayikidwa. pa mtanda, malingana ndi zofunikira zamapangidwe, kotero kuti wosanjikiza woyamba ukhoza kusindikizidwa pamenepo.Gawo lofunikali nthawi zambiri limatchedwa "deposition".

Pamene tchipisi timakhala tating'ono komanso ting'onoting'ono, njira zosindikizira pazitsulo zimakhala zovuta kwambiri.Kutsogola pakuyika, etching ndi lithography ndizofunikira pakupangitsa tchipisi kukhala zing'onozing'ono ndikuyendetsa kupitiliza kwa Lamulo la Moore.Izi zikuphatikizapo njira zamakono zomwe zimagwiritsa ntchito zipangizo zatsopano kuti ndondomeko yoyikayi ikhale yolondola.

Kupaka kwa Photoresist

Zophika zimakutidwa ndi zinthu zowoneka bwino zotchedwa "photoresist" (yotchedwanso "photoresist").Pali mitundu iwiri ya photoresists - "positive photoresists" ndi "negative photoresists".

Kusiyana kwakukulu pakati pa zabwino ndi zoipa photoresists ndi kapangidwe mankhwala a zinthu ndi mmene photoresist amachitira kuwala.Pankhani ya ma photoresists abwino, malo omwe ali ndi kuwala kwa UV amasintha mawonekedwe ake ndikukhala osungunuka kwambiri, motero amakonzekera kuti awonongeke ndi kuyika.Komano, ma photoresists olakwika amapangidwa polima m'malo omwe amawunikira, zomwe zimapangitsa kuti zikhale zovuta kusungunuka.Positive photoresists ndi omwe amagwiritsidwa ntchito kwambiri popanga semiconductor chifukwa amatha kukwaniritsa malingaliro apamwamba, kuwapanga kukhala chisankho chabwinoko pagawo la lithography.Tsopano pali makampani angapo padziko lonse lapansi omwe amapanga photoresists popanga semiconductor.

Kujambula zithunzi

Photolithography ndiyofunikira kwambiri pakupanga chip chifukwa imatsimikizira momwe ma transistors pa chip angakhale ochepa.Panthawi imeneyi, zopyapyala zimayikidwa mu makina a photolithography ndipo zimawonekera ku kuwala kwakuya kwa ultraviolet.Nthawi zambiri amakhala aang'ono kuchulukitsa kambirimbiri kuposa mchenga.

Kuwala kumawonekera pawafa kudzera mu "chigoba chophimba" ndipo mawonekedwe a lithography (magalasi a DUV system) amachepa ndikuyang'ana mawonekedwe ozungulira omwe adapangidwa pa mbale ya chigoba pa chojambula chojambula pawafa.Monga tafotokozera kale, kuwala kukakhala pa photoresist, kusintha kwa makemikolo kumachitika komwe kumasindikiza chithunzicho pa mbale ya chigoba pa zokutira za photoresist.

Kupeza mawonekedwe owonekera bwino ndi ntchito yovuta, yokhala ndi kusokoneza kwa tinthu, kusokoneza ndi zina zofooka zakuthupi kapena zamankhwala zonse zomwe zingatheke panthawiyi.Ichi ndichifukwa chake nthawi zina timafunikira kukhathamiritsa mawonekedwe omaliza pokonza mawonekedwe a chigoba kuti mawonekedwe osindikizidwa aziwoneka momwe timafunira.Dongosolo lathu limagwiritsa ntchito "computational lithography" kuphatikiza mitundu ya algorithmic ndi data kuchokera ku makina a lithography ndi zowotcha zoyeserera kuti apange mawonekedwe a chigoba omwe ndi osiyana kwambiri ndi mawonekedwe omaliza, koma ndi zomwe tikufuna kukwaniritsa chifukwa ndi njira yokhayo yopezera mawonekedwe ofunikira.

Etching

Chotsatira ndikuchotsa chotsitsa cha photoresist kuti chiwulule chomwe mukufuna.Panthawi ya "etch", chophikacho chimawotchedwa ndikupangidwa, ndipo ena mwa photoresist amatsukidwa kuti awulule njira yotseguka ya 3D.Njira yolumikizira iyenera kupanga mawonekedwe owongolera bwino komanso mosasintha popanda kusokoneza kukhulupirika ndi kukhazikika kwa chip.Njira zamakina zapamwamba zimalola opanga ma chip kugwiritsa ntchito mapatani awiri, anayi ndi spacer kuti apange tinthu tating'ono tating'ono tamakono ta chip.

Monga photoresists, etching imagawidwa mu mitundu "yowuma" ndi "yonyowa".Dry etching imagwiritsa ntchito gasi kutanthauzira mawonekedwe owonekera pawafa.Chonyowa chonyowa chimagwiritsa ntchito njira zama mankhwala kuyeretsa chophika.

Chip chili ndi zigawo zingapo, kotero kuti kuyika kuyenera kuyang'aniridwa mosamala kuti kupewe kuwononga zigawo zamkati za kachipangizo kamitundu yambiri.Ngati cholinga etching ndi kupanga patsekeke mu kapangidwe, m'pofunika kuonetsetsa kuti kuya kwa patsekeke ndi bwino.Mapangidwe ena a chip okhala ndi magawo 175, monga 3D NAND, amapangitsa kuti sitepeyi ikhale yofunika komanso yovuta.

Iyoni jakisoni

Kapangidwe kameneka kakakhazikika pa chowotchacho, chophikacho chimawunikidwa ndi ma ion abwino kapena oyipa kuti asinthe mawonekedwe a gawo la pateniyo.Monga zinthu zopangira zowotcha, silicon yakuthupi siwothandizira bwino kapena woyendetsa bwino.Mapangidwe a silicon amagwera penapake pakati.

Kuwongolera ma ion mu silicon crystal kuti magetsi aziyendetsedwa kuti apange masiwichi amagetsi omwe ali midadada yomangira ya chip, transistors, amatchedwa "ionization", yomwe imadziwikanso kuti "ion implantation".Pambuyo wosanjikiza wakhala ionized, otsala photoresist ntchito kuteteza un-etched malo amachotsedwa.

Kupaka

Masitepe masauzande ambiri amafunikira kuti apange chip pa chowotcha, ndipo zimatengera miyezi yopitilira itatu kuchoka pakupanga mpaka kupanga.Kuti achotse chip mu chowotchacho, chimadulidwa kukhala tchipisi tating'ono pogwiritsa ntchito macheka a diamondi.Tchipisi izi, zotchedwa "bare die," zimagawika kuchokera ku chowotcha cha mainchesi 12, kukula komwe kumagwiritsidwa ntchito popanga semiconductor, ndipo chifukwa kukula kwa tchipisi kumasiyanasiyana, zowotcha zina zimatha kukhala ndi tchipisi masauzande, pomwe zina zimakhala ndi zochepa chabe. khumi ndi awiri.

Zophika zopanda kanthu izi zimayikidwa pa "substrate" - gawo lapansi lomwe limagwiritsa ntchito zojambula zachitsulo kuti ziwongolere zolowetsa ndi zotulutsa kuchokera ku chowotcha chopanda kanthu kupita kudongosolo lonselo.Kenako imakutidwa ndi "sink ya kutentha", kachidebe kakang'ono, kopanda chitsulo kotetezera komwe kamakhala ndi choziziritsa kukhosi kuti chip chikhale chozizirira panthawi yogwira ntchito.

zonse zokha1

Mbiri Yakampani

Zhejiang NeoDen Technology Co., Ltd. yakhala ikupanga ndi kutumiza kunja makina osiyanasiyana ang'onoang'ono osankha ndi malo kuyambira 2010. Kutengera mwayi wathu olemera odziwa R&D, kupanga ophunzitsidwa bwino, NeoDen imapeza mbiri yabwino kuchokera kwa makasitomala padziko lonse lapansi.

ndi kupezeka kwapadziko lonse lapansi m'maiko opitilira 130, magwiridwe antchito abwino kwambiri, kulondola kwambiri komanso kudalirika kwa NeoDenPNP makinaapangitseni kukhala abwino kwa R&D, prototyping yaukadaulo komanso kupanga magulu ang'onoang'ono mpaka apakatikati.Timapereka yankho laukadaulo la zida za SMT imodzi.

Onjezani: No.18, Tianzihu Avenue, Tianzihu Town, Anji County, Huzhou City, Province la Zhejiang, China

Foni: 86-571-26266266


Nthawi yotumiza: Apr-24-2022

Titumizireni uthenga wanu: